photo

Muhammad Rizwan


Last seen: 3년 초과 전 2019년부터 활동

Followers: 0   Following: 0

통계학

  • Thankful Level 2
  • Thankful Level 1
  • First Answer

배지 보기

Feeds

보기 기준

질문


HDL optimized QPSK TX and RX combined
Hi, I am trying to simulate example HDL optimized qpsk Tx and HDL QPSK optimized RX by interconnecting them as written in Rx we...

3년 초과 전 | 답변 수: 1 | 0

1

답변

질문


Sampling rate conversion issues in HDL coder
Hi , I am trying to generate HDL code for the file attached. I am trying to make a state machine which takes data at slow data...

3년 초과 전 | 답변 수: 2 | 0

2

답변

질문


ber rate for QPSK different from theoretical values
Hi, I am trying to simulate BER for QPSK transceiver. I am using example " commqpsktxrx " and bertool to plot ber. What I obs...

거의 4년 전 | 답변 수: 0 | 0

0

답변

질문


Pulse shape filter design
HI I am designing a communication system in which pulse shaping will be required. I am using square root raised cosine transm...

대략 4년 전 | 답변 수: 1 | 0

1

답변

질문


Eye Diagram different values in measurement for histogram and line plot
Hi I am trying to obtain an eye diagram for my filter. For analysis when I use line plot it displays different values for eye ...

대략 4년 전 | 답변 수: 1 | 0

1

답변

질문


Arduino simulink connection error
HI I am trying to run Arduino example on my Arduino uno board from simulink. Every time it shows the same error though I chan...

대략 4년 전 | 답변 수: 2 | 0

2

답변

질문


PIL block generation in a separate file
Hi All, I am trying to generate a PIL block for tx_micro(file attached) for ARDUINO UNO. I ahve tried arduino PIL (https://www...

대략 4년 전 | 답변 수: 0 | 0

0

답변

질문


variable type output to fixed type in DBPSK modulator
HI I am trying to simulate and generate a code for DBPSK modem as given in the attached simulink file. it runs perfect for ...

대략 4년 전 | 답변 수: 0 | 0

0

답변

질문


eye diagram measurements error
HI I have query regarding eye diagram measurements. I am trying to get the eye diagram measurements for my transmitter. I get ...

대략 4년 전 | 답변 수: 0 | 0

0

답변

질문


Plot two spectrum plot in one spectrum plot?
Hi , I have a question regarding plotting of two spectrum plots into one spectrum but resultant plot must be appended after the...

대략 4년 전 | 답변 수: 1 | 0

1

답변

질문


CIC compensation hdl generation error
Hi Matlab Professionals, I have a query regarding the error while generating HDL code for CIC decimation compensation filter,Wh...

4년 초과 전 | 답변 수: 2 | 0

2

답변

답변 있음
Trigger type 'either' is not supported for HDL code generation.
Dear Kiran, I have attached the file as required. I want to generate hdl code for the subsytem input data formatter. Thanks...

4년 초과 전 | 0

질문


Trigger type 'either' is not supported for HDL code generation.
Hi I am generating HDl code for a state flow which is double edge triggered.And I get the error show below. Trigger type 'e...

4년 초과 전 | 답변 수: 3 | 0

3

답변

질문


state flow to hdl(Fixed point issues)
HI I have attached stateflow chat which is expected to be converted to HDL. I am facing issues while simulating the diagram o...

4년 초과 전 | 답변 수: 0 | 0

0

답변

답변 있음
Check Block Compatibiity Error
Hi I encountered the same problem.But my divisor and dividend both are whole numbers That means Dividend is 14 bit signed 2s ...

4년 초과 전 | 0

질문


embedded coder for Micro controller
HI I am trying to generate code from Embedded coder for QPSK transmitter.I just want to ask that is there any nice document/tui...

거의 5년 전 | 답변 수: 1 | 0

1

답변