필터 지우기
필터 지우기

Check Block Compatibiity Error

조회 수: 8 (최근 30일)
Maximum
Maximum 2014년 6월 12일
답변: Kiran Kintali 2020년 1월 18일
Hi guys.
I have error during using HDL Workflow Advisor. The error is _ " Error: Product block with divide input (/) supports fixed point division only when the fraction length of output is equal to the fraction length of the dividend minus the fraction length of the divisor." _ . But, i dont understand what exactly the meaning is.
Does anyone know about this problem? How can I solve it? Thanks in advance

채택된 답변

Tim McBrayer
Tim McBrayer 2014년 6월 12일
HDL Coder only supports division for fixed-point numbers, not for doubles. Fixed point numbers are denoted by specifying a word length (in bits), a fraction length (in bits), and whether the value is signed. The choices for these parameters determine what values the fixed point type can hold, and with what precision.
In x = a/b, a is the dividend, b is the divisor, and x is the output. The error message is stating that fraction(x) == fraction(a) - fraction(b). So, if the dividend (a) has 8 bits of fraction, and the divisor (b) has 3, then the result (x) must have 5 fractional bits.

추가 답변 (2개)

Muhammad Rizwan
Muhammad Rizwan 2020년 1월 15일
Hi
I encountered the same problem.But my divisor and dividend both are whole numbers That means Dividend is 14 bit signed 2s complement data and I will normalize it with 2^8(8191 max positive number).
So botyh didnot have any fraction part but output should have fraction part.
How can I solve this issue?

Kiran Kintali
Kiran Kintali 2020년 1월 18일
Can you share a sample model?
Thanks

카테고리

Help CenterFile Exchange에서 HDL Coder에 대해 자세히 알아보기

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by