photo

Suman


Last seen: Today 2024년부터 활동

Followers: 0   Following: 0

통계학

  • Knowledgeable Level 1
  • First Answer

배지 보기

Feeds

보기 기준

답변 있음
read multiple mat files with Simulink
@SS, Yes since you want to load multiple .mat files, it is best to use a MATLAB function block. Here is a simple example code:...

대략 12시간 전 | 0

답변 있음
Returning workspace outputs is supported with only single return argument
Hi Joe, The problem lies with the way you are using sim() function in your code. sim() function can only return a single argume...

대략 16시간 전 | 0

답변 있음
I am trying to send a constant number (say 200) through serial port using Simulink, but rather than sending a sequence of 200s it transmits 105, 64,0 on repeat. why?
Hi faisal, You may try these troubleshooting steps to figure out the problem: 1) Ensure that the data type and encoding are co...

대략 16시간 전 | 0

답변 있음
SIMULINK: Set a random seed in the Block Parameters: Random Number GUI
Hi Marie, You can achieve this in two very simple ways: You can set the value of the Seed parameter in the block dialog to be...

대략 17시간 전 | 0

답변 있음
How to get plecs support in matlab
Hi, Removing the plecs blocks may introduce various errors in your model. It is better to install the blockset instead. You can...

1일 전 | 0

답변 있음
Importing URDF file into Simulink
@Na Here are some troubleshooting steps that you can try to resolve this issue: Check that the xml description in the udrf fil...

1일 전 | 0

답변 있음
create a mat file that appends structure data using MATLAB C APIs
Hi Imran, My understanding is that you want to append the family_data values to an existing MAT file. The probem lies with the ...

1일 전 | 0

답변 있음
Calling Matlab Function with Multiple Returns in C++ Semantic Error
Hi Emily, You can try using the C++ native types for the arguments and return types as explained in this example here: Call MAT...

1일 전 | 0

답변 있음
mex_C_win64 vs mingw64
Hi Cagri, This CompilerConfiguration object give the details about the default compiler used by the mex command. You can chec...

1일 전 | 0

답변 있음
Why do I receive error LNK1104: cannot open file libboost_zlib-vc142-mt-x64-1_84.lib
Hi 骏昌 王, As per the documentation, https://www.mathworks.com/help/matlab/ref/mex.html#btw17rw-1-option1optionN, If used, the -L...

3일 전 | 0

답변 있음
Use Simulink.BlockDiagram.arrangeSystem for signal line alignment but except one specific block
Hi Chuyen, Please try setting the 'FullLayout' option to 'false'. As per the examples here https://www.mathworks.com/help/simul...

3일 전 | 0

답변 있음
How to resolve "Out of Tolerance" in the Simulation Data Inspector?
Hi Yogi, Here are some troubleshooting steps that you may try to understand the reason for the Max Diff values: 1. Ensure Prop...

3일 전 | 0

답변 있음
Can a structure variable be updated within MATLAB Function block in Simulink?
Hi Afaq, There are some limitations to using variables in MATLAB function block as opposed to the way it would run in a MATLAB ...

3일 전 | 1

답변 있음
I don't know how to receive variables calculated in Simulink in Unity.
Hi 祐典 田島, You can refer to this example: https://github.com/simon-f-j/Simulink_In_Unity Hope that helps!

4일 전 | 0

답변 있음
I need use a blf file for CAN simulink modelling. I couldnt read the blf.
Hi zozan, This error occurs when you have special or non-ascii characters in your filename or filepath. Please rename the file ...

4일 전 | 0

답변 있음
I know it seems easy, but I'm having trouble doing this, Can anyone tell me how to design a timer of 2 sec using simulink or stateflow? or is there any inbuild block for this?
Hi Satish, You can look at this sample model to build a timer / counter using Simulink Blocks: https://www.mathworks.com/matlab...

4일 전 | 0

답변 있음
How can I deactivate the signals in the "ee dc fast charger" example?
Hi Ibrahim, I am assuming that by recording signals, you mean logging the signal data of the simulation to workspace. First of ...

4일 전 | 0

답변 있음
Why Enumerated data type creation is not supported in Model Workspace?
Hi MILI, If you need to use enumeration data types in model workspace, you may use the following workarounds: 1) Copy data fro...

30일 전 | 0

답변 있음
cant install Arduino third party packages matlab ,
Hi Prageeth, Please try running MATLAB as an administrator. In case that does not resolve the issue, please follow the troubles...

30일 전 | 0

답변 있음
Language problem inside Simulink
Hi Bodhit, It looks like MATLAB is using a non-english language for it's desktop texts and error messages. Please refer to thes...

30일 전 | 0

답변 있음
inability to add hardware support packages in MATLAB R2015a
Hi Abdullah, MATLAB Support Package for Arduino Hardware is not supported for R2015a. As per the documentation, here, it is su...

30일 전 | 0

답변 있음
Error when click "Send to simulink" Button on Bikesim
Hi Nguyen, This error indicates that Bikesim was unable to communicate with the installed MATLAB on your system. Bikesim commun...

대략 1개월 전 | 1

답변 있음
How do I translate an ARDUINO IDE Code to Simulink blocks?
Hi Nathan, It is not possible to automatically convert Arduino code to an equivalent Simulink model. You need to take a manual ...

대략 1개월 전 | 0

답변 있음
Error in port widths or dimensions
Hi laiche, You may refer to these two discussions regading the same issue: https://www.mathworks.com/matlabcentral/answers/248...

대략 1개월 전 | 0

답변 있음
Error in port widths or dimensions. Output port 1 of 'pfe1_correction16/powergui/EquivalentModel1/Sources/From2' is a one dimensional vector with 4 elements. Component: Simul
Hi Nadir, The error indicates that there is a mismatch between the dimension of the data that the signal is carrying and the di...

대략 1개월 전 | 0

답변 있음
How to solve the simulation error ,try reducing the minimum step size and/or relax the relative error tolerance.
Hi Agnes, Please refer to these answers where the users have faced similar issue: https://www.mathworks.com/matlabcentral/answ...

대략 1개월 전 | 1

답변 있음
Simulink Com serial communication how to send a hexadecimal command?
Hi Chi, The error message indicates that the fread operation was not completed before the timeout. You could go about fixing ...

대략 1개월 전 | 0

| 수락됨

답변 있음
can some one explain me how to pass /give argument to add function instead of void value in simulink function
Hi Siddanagouda, It is not recommended to make changes to the generated code or the default function signatures unless you have...

대략 1개월 전 | 0

답변 있음
Using Simulink for Solver use
Hi Yasaswi, You can follow these examples to learn about using Objective functions and constrains in MATLAB: https://www.mathw...

대략 1개월 전 | 0

답변 있음
I DON'T KNOW WHAT IS THIS ERROR. CAN SOMEONE HELP ME PLEASE
Hi Vikai, The error message you're encountering, avrdude: ser_open(): can't open device "\\.\COM11": Access is denied, typicall...

대략 1개월 전 | 0

더 보기