Is there a way to convert verilog (.v) codes to Simulink model?

조회 수: 24 (최근 30일)
Nadatimuj
Nadatimuj 2021년 2월 11일
댓글: Stelios Papaharalabos 2022년 11월 7일
How to convert multuple verilog files into Simulink model without getting any clock inference error?

채택된 답변

Pranav Verma
Pranav Verma 2021년 2월 16일
Hi Navid,
You can use importhdl function in MATLAB to import the verilog code and generate the Simulink model out of it. Please make sure that the input HDL files should contain constructs that are supported by HDL import. Here are all the constructs supported by HDL import.
Thanks
  댓글 수: 1
Stelios Papaharalabos
Stelios Papaharalabos 2022년 11월 7일
Hello Pravan,
I'm trying to run the importhdl function but matlab says "Undefined function or variable 'importhdl'.".
I do have the HDL Coder toolboox. Could you please help to resolve this issue?
Thanks

댓글을 달려면 로그인하십시오.

추가 답변 (0개)

카테고리

Help CenterFile Exchange에서 HDL Coder에 대해 자세히 알아보기

태그

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by