HDL Parser error: Unsupported data-type sfixed in "Fpga-in-the-loop"

조회 수: 2 (최근 30일)
Raphael
Raphael 2013년 3월 19일
I have some .vhd files which were not generated using HDL coder but have been successfully co-simulated using modelsim. However when I try to simulate using the FPGA-in-the-loop wizard, it seems to have problems in using the sfixed or ufixed types of variables, which use the ieee fixed_pkg library. Is there a solution for that? Did anyone manage to run .vhd files with sfixed data-type using this libraries for FIL simulations???

답변 (2개)

Tim McBrayer
Tim McBrayer 2013년 3월 19일
How are you getting VHDL-2008 code into Simulink in FIL mode? HDL Coder doesn't generate any 2008-specific constructs. If you are bringing it in with a Black Box, you will need to tweak how your VHDL compiler and simulator are invoked, to make sure that they are invoked in a way that enables VHDL-2008 features.
  댓글 수: 1
Raphael
Raphael 2013년 3월 22일
I'm not using the HDL coder to generate my code, I wrote it myself. Thanks for the answer anyway, I manage to solve my problem.

댓글을 달려면 로그인하십시오.


Tao Jia
Tao Jia 2013년 3월 20일
To use FPGA-in-the-Loop, the I/O port on the top-level entity must be std_logic_vector or std_logic type. The ufixed and sfixed data types you have are not supported.
To fix the problem, you can probably use To_slv function to convert those data types to std_logic_vector.
  댓글 수: 1
Raphael
Raphael 2013년 3월 22일
Thanks Tao Jia that was one of the issues, the other thing is that I needed to add the lib files along with my VHD files. I'm still trying to understand the main clock, can I configure its frequency? What is the default clock when I use the FIL Wizard?

댓글을 달려면 로그인하십시오.

카테고리

Help CenterFile Exchange에서 Code Generation에 대해 자세히 알아보기

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by