필터 지우기
필터 지우기

importhdl vector index operation

조회 수: 1 (최근 30일)
Stefano Buccelli
Stefano Buccelli 2019년 6월 5일
편집: Kiran Kintali 2020년 10월 19일
Hi,
I'm trying to import this verilog "toy code" but I'm getting an error. (note: this code is just to test the import functionality)
module reduced (
input wire clk,
input wire reset,
output wire [15 :0] status
);
reg [6:0] output_read_addr=0; // the address at which we will read resulting samples
reg [15:0] output_read_data; // the data that has been retrieved from memory
reg [15:0] output_storage[0:127]; // this memory is used to store data from the computing algorithm
always @(posedge clk) begin
output_read_data <= output_storage[output_read_addr];
end
endmodule
The error I get is:
Signal 'output_read_addr' is not supported in vector index operation.
Hdl Import parse failed.
Any help would be great! Any chance this can work on 19a?
Thanks

답변 (1개)

Kiran Kintali
Kiran Kintali 2020년 10월 19일
편집: Kiran Kintali 2020년 10월 19일
Please share functional verilog module to diagnose the error.

카테고리

Help CenterFile Exchange에서 HDL Coder에 대해 자세히 알아보기

태그

제품


릴리스

R2018b

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by