필터 지우기
필터 지우기

how to program an FPGA using VHDL ?

조회 수: 2 (최근 30일)
Lahssan BEN TARLA
Lahssan BEN TARLA 2013년 11월 8일
댓글: Lahssan BEN TARLA 2013년 12월 13일
I am trying to program an FPGA board I'm starting programming VHDL so I need my algoritheme which allows programming a Xilinx FPGA board types.

답변 (1개)

Tim McBrayer
Tim McBrayer 2013년 11월 8일
If you are hand-writing VHDL code, there is no algorithm in MATLAB that will let you program an FPGA. There is an entire class of software collectively known as synthesis tools that exists specifically to convert HDL into a realized design on an FPGA or ASIC. Since you are targeting an FPGA you need to get this software from your FPGA company, such as Xilinx or Altera.
If you are creating VHDL via MathWorks' HDL Coder product, you need to investigate the HDL Workflow Advisor, which is part of HDL Coder. It will walk you through the process of converting a MATLAB design or Simulink model, step-by-step, into a final implementation on an FPGA. The HDL Workflow Advisor still requires a compatible synthesis tool to do the actual synthesis.
  댓글 수: 1
Lahssan BEN TARLA
Lahssan BEN TARLA 2013년 12월 13일
The next step is to create an IDNLGREY object reflecting the modeling situation is that possible to have a sample program in VHDL ISE software applied to a XILINX Spartan 3E board. captured and treated for a quilquant picture.
thank you in advance

댓글을 달려면 로그인하십시오.

제품

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by