Can anyone Please me on how to build a analog to digital and digital to analog converter Model in simulink?

조회 수: 5 (최근 30일)
I have use DAC where i have to convert a digital signal to analog signal so that i can add it to AWGN channel....And the output should be again converted back to digital....I can easily guess that I require a DAC an ADC... but there are no separate blocks for them in Simulink... And I am unable to do it in simulink?...Plz help me...
  댓글 수: 1
kshama PATEL
kshama PATEL 2017년 3월 17일
편집: kshama PATEL 2017년 3월 17일
i am working on the same concept. even i am unable to do DAC in simulink. Please respond back if you find a solution of the same.

댓글을 달려면 로그인하십시오.

답변 (1개)

Mike Woodward
Mike Woodward 2011년 11월 22일
Dear Yella,
You might find the new free mixed-signal library useful: http://www.mathworks.com/programs/mixed-signal/index.html
Mike

카테고리

Help CenterFile Exchange에서 Data Converters에 대해 자세히 알아보기

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by